quartusii使用教程

软件发布|下载排行|最新软件

当前位置:首页IT学院IT百科

quartusii使用教程

  2022-10-27 我要评论

下面就是小编带给大家的quartusii使用教程方法操作,希望能够给你们带来一定的帮助,谢谢大家的观看。

操作方法

  • 01

    新建工程:从开始菜单启动Quartus II 13.1(64 bit) ,菜单栏选择File—>New Project Wizard,新建工程。

  • 02

    弹出新建工程对话框,点击Next,依次设置工程的存放路径,工程名称,顶层文件名称,如图依次设置好,这里我们将所有工程相关的文件放在prj文件夹内,便于工程文件与源码文件的管理,同时要保持工程名称与顶层实体名称一致,继续Next。

  • 03

    添加设计文件,这一步我们不需要添加,后续我们会新建相应的代码文件。这里继续Next。选择FPGA器件,如图所示,这里我们选择器件家族是CycloneIV系列的,封装是FBGA,管脚数为256,速度等级为8。这里速度等级越小,速度越快。

  • 04

    CoreVoltage是内核电压,Cyclone IV的内核电压是1.2V。LE是Altera最基本的逻辑单元,LEs表示FPGA的所有的逻辑资源。User I/Os表示用户IO数,这里共有180个IO可以供用户使用。

  • 05

    PLL锁相环,内部一共有两个锁相环。Global clocks全局时钟网络数,这里一共有10个。设置EDA工具,这里我们就设置一下仿真工具,使用默认Modelsim

  • 06

    语言选择Verilog。实际后面我们不建议大家使用Modelsim-Altera。我们会推荐大家在直接使用Modelsim-SE,后者的通用性更强。继续Next。总结新建工程的基本信息。到这里就完成工程的建立。

特别提示

以上就是小编带给大家的如何操作的关键所在,如果觉得本对你们有帮助,请给小编我进行一点小小的支持。大家也可以下面发表一下自己的看法。

个人意见,仅供参考。

Copyright 2022 版权所有 软件发布 访问手机版

声明:所有软件和文章来自软件开发商或者作者 如有异议 请与本站联系 联系我们